Search for
Home > Business > Industries > Manufacturing > Electronics > Production Equipment >

Semiconductor_Processing
New! Submit a site
 
whatUseek Directory Site Listings:
 
Potentials Inc - Refurbishment, exchange, enhancement and new major components for ion implanters and accelerators.
 
Process integration - Pi designs and manufactures customized semiconductor equipment. PVD, CVD, Etch, Flip Chip, High Vacuum Technology
 
Quality Semiconductor, Inc. - Designs, develops and markets high-performance logic and networking semiconductor products. The Company targets systems manufacturers principally in the networking, personal computer and workstation markets.
 
RD Automation Flip Chip Die bonders - Manufacturer of flip chip die bonding equipment. Offers manual, semi-automatic to inline production models. Common applications: FPA, MCM, FCOG, Eutectic bonding. Various options available.
 
Rena Sondermaschinen GmbH - Supplies custom designed solutions and standard equipment for wet chemical processes in cleanrooms.
 
SITE Services, Inc. - Designs and produces photolithography equipment.
 
Schlumberger Semiconductor Solutions - provides systems and services for probing, repairing, testing and handling semiconductor devices. It also offers measurement systems for front-end applications, as well as equipment to test complete electronic assemblies for the telecommunications industry.
 
Semitool, Inc. - Manufacturer of metallization and interconnect, electrochemical deposition (ECD), wet cleaning and etching, thermal diffusion, and auxiliary equipment for semiconductor manufacturing processes.
 
Silicet AG - Etching technologies for microsystems: patented wafer holder / wafer handling tools / wet etching benches
 
Silicon Valley Group - Photolithography, steppers for semiconductor processing
 
Solitec Wafer Processing, Inc. - Processing equipment for the semiconductor industry.
 
TCG Inc. - Manufacturers' representatives for semiconductor process and inspection equipment. Mask alignment, contact and proximity printing, automated visual inspection, surface profiling, wafer handling and sorting, wafer thickness gauging and metrology.
 
TSST - Thin film technology, especially for ceramic materials. Both, deposition equipment and thin film products.
 
Tek-Vac Industries, Inc. - Manufacturers of semiconductor and materials process equipment.
 
Teltec Materials Division - Wafer fab equipment and materials distributor.
 
Temptronic Corporation - Localized thermal inducing systems and temperature testing equipment. Specializing in semiconductor wafer and thermal hot chuck air forcing systems.
 
Theis Enterprises - Manufacturer of plasma etchers, parts, service training, diagnostic equipment. Primarily used in the semiconductor industry.
 
ULTRA t Equipment - Provide cleaning systems for the semiconductor industry and microelectronics industries, meeting the most stringent requirements for a broad range of substrates.
 
Varian Semiconductor Equipment Associates - Offer high current, medium current, high energy fab tools, including ion implanters.
 
Visual Photonics Epitaxy Co., Ltd. - Manufacturer of MOCVD technology based EPI materials.
 
 

[ 1 2 3 4 5 ]
Help build the largest human-edited directory on the web.
  Submit a Site - Open Directory Project - Become an Editor  
About   Help   Content Filter   Terms   Privacy Policy

© 2018 whatUseek