Search for
Home > Business > Industries > Manufacturing > Electronics > Production Equipment >

Semiconductor_Processing
New! Submit a site
 
whatUseek Directory Site Listings:
 
Irvine Optical - Produces automated wafer mapping, inspection, sorting and process handling control tools for the semiconductor manufacturing industry.
 
Jesagi Hankook Ltd. - Design and manufacture cutting tools, steel fiber, machine parts, down hole hammers.
 
Johnson Matthey - Produces products including palladium (pd) purifiers and getter and catalytic gas purifiers and fuel processors.
 
Joosung Engineering Inc. - CVD equipment, LP, PE, MO CVD equipment for semiconductor and lcd processing
 
Jus Mechatronics Pte Ltd - Manufactures soldering robots for post smt and reflow components assembling. From Singapore.
 
K.C.TECH Co., Ltd - Gas cabinet, wet stations. Flash site.
 
KDF - KDF produces physical vapor deposition in-line batch systems used in the production of semiconductors, telecommunications and wireless networks, sensors, opto-electronics, flat panel displays and radio frequency power devices.
 
Keko Equipment - Manufacturer of equipment for development of multilayer based components.
 
Keystone Technologies, Inc. - A manufacturer of fully and semi automated wet benches, chemical supplies, and related equipment for the semiconductor industry.
 
Kokusai Semiconductor Equipment Corporation - Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) furnaces as well as rapid thermal processing (RTP) equipment.
 
Kras Asia Ltd. - Engineering, design and manufacture of molds, dies, automatic systems for the worldwide semiconductor and electronic industry.
 
Lam Research - A supplier of wafer fabrication equipment.
 
Mr. Prober Technology - Manufacturer and remanufacturer of computer based vision and motion automation systems for chip development and test.
 
Nikon - Photo lithography systems for semiconductor and fpd processing
 
OLM Enterprises - Vendor of wafer fab and semiconductor process and manufacturing equipment.
 
Orbis Technologies Ltd - Providers of plasma coating, etching, ashing and metallization products. Applications include semiconductor manufacturing.
 
PSK Tech, Inc. - Manufacturer of semiconductor and LCD production and process equipment including ashers and dry etchers.
 
Plasma Equipment Technical Services, Inc. - Original equipment provider of plasma etch, RIE, PECVD, sputtering, ion mill and barrel stripping systems for semiconductor processing.
 
Polytec Technology Co., Ltd. - Manufacturer of IC package and lead frame wet process equipment, special chemical liquid for IC packaging, conductive paste for passive components, LCD/ touch panels, flip electrode.
 
Poong San Tech - Semiconductor,Semiconductor quipment, BGA,Sawing,Laser Marking,Singulation, Tape & Reel,Trim,Form,Inspection,LCD,Solder Ball
 
 

[ 1 2 3 4 5 ]
Help build the largest human-edited directory on the web.
  Submit a Site - Open Directory Project - Become an Editor  
About   Help   Content Filter   Terms   Privacy Policy

© 2018 whatUseek