Search for
Home > Business > Industries > Manufacturing > Electronics > Production Equipment >

Semiconductor_Processing
New! Submit a site
 
whatUseek Directory Site Listings:
 
EV Group - Manufacturer of semiconductor production equipment. Including ranges for resist processing, wafer cleaning, wafer bonding and SOI bonding.
 
Eclipse Technologies, Inc. - Manufacturer of flip chip bonding equipment. Manual and automatic production models. A highly adaptable platform. Applications include: FPA, BGA, uBGA, MCM, FCOG, Eutectic bonding. Various options available.
 
Electro Mechanical Services - Supplier of technical products and services to laboratories and manufacturers in New Mexico.
 
Epigress AB - Manufactures equipment for epitataxial (CVD) and bulk (sublimation).
 
Episil Technologies Inc. - Manufacturer of silicon epitaxial wafers foundry and provide buried layer epitaxial process services.
 
Epitaxial Technologies, LLC - Manufactures compound semiconductors and value-added wafer products for the rapidly expanding wireless and optoelectronic industries.
 
Equipment Liquidation Services - Purchaser of semiconductor and vacuum deposition coating equipment.
 
FSIInternational, Inc. - Supplier of processing equipment used to manufacture microelectronics, including semiconductor integrated circuits and thin film heads for the computer hard drive industry. Develop, manufacture, market and support products used in the technology areas of surface conditioning, microlithography and spin-on dielectrics.
 
Felcon Cleanrooms and Containment Ltd - Contamination controls including wet benches, cleanrooms, downflow, and laminar flow booths.
 
Fine Semi Tech - Pellicles for photomask processing, chillers, pellicle mounters for semiconductor and FPD processing.
 
Foothill Instruments, LLC - Manufacturer of film thickness metrology equipment for semiconductor and related industries.
 
GCL - Produce etch processing tanks, ultra violet exposure units and light boxes for industry and photographers.
 
GT Equipment Technologies Inc. - Manufacture of semi-custom and specialty equipment for the materials processing industries. Supplier to the global semiconductor and photovoltaic industries.
 
GaSonics International - Supplier of semiconductor processing equipment to integrated circuit manufacturers.
 
Genitech, Inc. - Genuine technology for semiconductor device makers, CMP and other semiconductor equipment.
 
Greatek Technology Co., Ltd. - Designer and manufacturer of semiconductor process equipment.
 
Hand Ray Co., Ltd. - Manufacturer of vacuum three-axis robot arm, wafer, glass substrate, arm handler and transfer robot. From Taiwan.
 
Hitachi America - Supplier of gas abatement systems, SIMOX implanters, HDP-CVD systems and plasma etch equipment for metal, poly, trench, oxide and low-k applications.
 
IPS Tech - Manufactuer of ALD (Atomic Layer Deposition) Systems , Dry Etchers, Sputtering systems for Semiconductor Processing
 
Intellemetrics Ltd. - Vacuum deposition and etch measurement. Quartz crystal deposition monitors and controllers, plasma etch interferometers, optical monitors for thin film deposition.
 
 

[ 1 2 3 4 5 ]
Help build the largest human-edited directory on the web.
  Submit a Site - Open Directory Project - Become an Editor  
About   Help   Content Filter   Terms   Privacy Policy

© 2018 whatUseek